AMBA AXI Assertion IP

ARM supports AMBA family of protocols such as APB, AHB, AXI, ACE etc. VerifWorks has developed a comprehensive set of properties to verify a typical AXI interface. It is available in multiple flavors such as:

  • AXI3-Lite
  • AXI3
  • AXI4-lite
  • AXI4-Stream

We develop, customize and deploy these AIPs in applications such as:

  • Transaction identification, extraction on a typical UVM run
  • Augmented checking on top of our AXI-lite VIP (VIP provides stimulus, AIP does the checking)
  • Formal Verification of select portions (since the entire AIP + RTL is usually hard to converge in commercial FV tools as of now)
  • Measuring coverage
  • FPGA prototyping, Emulation – only a subset

Contact us via support@verifworks.com for more details.

Leave a Reply

Your email address will not be published. Required fields are marked *

This site uses Akismet to reduce spam. Learn how your comment data is processed.